From 7fe521a500de655ce5feef41f677deeab0309f2c Mon Sep 17 00:00:00 2001 From: Tom Hughes Date: Mon, 20 Mar 2023 22:24:51 +0000 Subject: [PATCH] Fix typo --- cookbooks/networking/recipes/default.rb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/cookbooks/networking/recipes/default.rb b/cookbooks/networking/recipes/default.rb index 47a9b8d60..2b738039a 100644 --- a/cookbooks/networking/recipes/default.rb +++ b/cookbooks/networking/recipes/default.rb @@ -230,7 +230,7 @@ elsif node[:networking][:engine] == "systemd-networkd" parent = interfaces[vlan_interface] || "vlans_#{vlan_interface}" - node.default_unless[:networking][:interfaces][parent][:interface] = vlan_interface, + node.default_unless[:networking][:interfaces][parent][:interface] = vlan_interface node.default_unless[:networking][:interfaces][parent][:vlans] = [] node.default[:networking][:interfaces][parent][:vlans] << vlan_id -- 2.43.2